Сделал запись регистра целиком при нажатии одного переключателя с помощью функциональных блоков. Так работает.